WICHTIG: Der Betrieb von goMatlab.de wird privat finanziert fortgesetzt. - Mehr Infos...

Mein MATLAB Forum - goMatlab.de

Mein MATLAB Forum

 
Gast > Registrieren       Autologin?   

Partner:




Forum
      Option
[Erweitert]
  • Diese Seite per Mail weiterempfehlen
     


Gehe zu:  
Neues Thema eröffnen Neue Antwort erstellen

Data Type Conversion

 

ands91
Forum-Newbie

Forum-Newbie


Beiträge: 7
Anmeldedatum: 16.06.14
Wohnort: ---
Version: ---
     Beitrag Verfasst am: 15.02.2017, 15:16     Titel: Data Type Conversion
  Antworten mit Zitat      
Hallo,

ein, wie ich glaube, relativ einfaches Problem stellt sich mir momentan. Da ich aber leider nicht die größten Simulink Kenntnisse habe, frage ich hier.

In meinem Aufbau schicke lese ich einen Encoder mittels Arduino aus und schicke die Daten per UDP an Simulink. Der Decoder Chip gibt dem Arduino einen Uint8 Byte Array mit 4 Einträgen aus. Dieses Array bekomme ich dann auch in Simulink. Diesen Array hätte ich nun gerne als Float-Zahl.

In Matlab kriege ich das mittels typecasting hin. In Simulink könnte ich den Matlab Functionblock verwenden, möchte dies aber vermeiden.

Wie bekomme ich das in Simulink hin. Mit dem Data Type Conversation Block funktioniert es nicht.
Ich habe mal gelesen man müsste die einzelnen Werte zusammen addieren, wobei man den ersten Wert mit 2^0 multipliziert, den zweiten mit 2^8, den dritten mit 2^16 und den vierten mit 2^24. Aber das funktioniert auch nicht richtig irgendwie.

Hat jemand eine Ahnung wie das gehen könnte?

Danke vielmals im voraus.
Private Nachricht senden Benutzer-Profile anzeigen


Neues Thema eröffnen Neue Antwort erstellen



Einstellungen und Berechtigungen
Beiträge der letzten Zeit anzeigen:

Du kannst Beiträge in dieses Forum schreiben.
Du kannst auf Beiträge in diesem Forum antworten.
Du kannst deine Beiträge in diesem Forum nicht bearbeiten.
Du kannst deine Beiträge in diesem Forum nicht löschen.
Du kannst an Umfragen in diesem Forum nicht mitmachen.
Du kannst Dateien in diesem Forum posten
Du kannst Dateien in diesem Forum herunterladen
.





 Impressum  | Nutzungsbedingungen  | Datenschutz | FAQ | goMatlab RSS Button RSS

Hosted by:


Copyright © 2007 - 2024 goMatlab.de | Dies ist keine offizielle Website der Firma The Mathworks

MATLAB, Simulink, Stateflow, Handle Graphics, Real-Time Workshop, SimBiology, SimHydraulics, SimEvents, and xPC TargetBox are registered trademarks and The MathWorks, the L-shaped membrane logo, and Embedded MATLAB are trademarks of The MathWorks, Inc.