Model { Name "AufgabeA_2017_10_10" Version 8.1 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.26" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "windows-1252" SaveDefaultBlockParams on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [1912.0, 0.0, 1936.0, 1056.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [1] DockPosition "Left" Width [50] Height [50] Filter [9] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [1] } Array { Type "Simulink.EditorInfo" Dimension 2 Object { $ObjectID 5 IsActive [1] ViewObjType "SimulinkSubsys" LoadSaveID "26" Extents [1693.0, 878.0] ZoomFactor [1.25] Offset [80.9593570708281, -50.199999999999989] } Object { $ObjectID 6 IsActive [0] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [1693.0, 878.0] ZoomFactor [1.0] Offset [-16.811029411764821, -3.2749388413710676] } PropName "EditorsInfo" } } } Created "Sun Jul 30 09:15:00 2017" Creator "Sebastian" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "Sebastian" ModifiedDateFormat "%" LastModifiedDate "Tue Oct 24 20:10:12 2017" RTWModifiedTimeStamp 430776367 ModelVersionFormat "1.%" ConfigurationManager "None" SampleTimeColors on SampleTimeAnnotations off LibraryLinkDisplay "disabled" WideLines off ShowLineDimensions off ShowPortDataTypes off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off SimulationMode "normal" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 7 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "AufgabeA_2017_10_10" overrideMode_ [0.0] Array { Type "Cell" Dimension 1 Cell "AufgabeA_2017_10_10" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell [] PropName "logAsSpecifiedByModelsSSIDs_" } } RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on CovModelRefEnable "Off" CovExternalEMLEnable off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 8 Version "1.13.0" Array { Type "Handle" Dimension 8 Simulink.SolverCC { $ObjectID 9 Version "1.13.0" StartTime "0.0" StopTime "0.1" AbsTol "auto" FixedStep "0.01" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" SolverMode "Auto" EnableConcurrentExecution off ConcurrentTasks off Solver "ode5" SolverName "ode5" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" } Simulink.DataIOCC { $ObjectID 10 Version "1.13.0" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "Dataset" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" } Simulink.OptimizationCC { $ObjectID 11 Version "1.13.0" Array { Type "Cell" Dimension 8 Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } BlockReduction on BooleanDataType on ConditionallyExecuteInputs on InlineParams off UseIntDivNetSlope off UseFloatMulNetSlope off UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off StrengthReduction off ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" ExpressionDepthLimit 128 FoldNonRolledExpr on LocalBlockOutputs on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero off NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on OptimizeModelRefInitCode off LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "Off" AccelVerboseBuild off ParallelExecutionInRapidAccelerator on } Simulink.DebuggingCC { $ObjectID 12 Version "1.13.0" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "warning" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "UseLocalSettings" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "error" MultiTaskCondExecSysMsg "error" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "EnableAllAsError" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "warning" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" LoggingUnavailableSignals "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnconditionalTransitionShadowingDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" } Simulink.HardwareCC { $ObjectID 13 Version "1.13.0" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 14 Version "1.13.0" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 15 Version "1.13.0" SFSimEnableDebug on SFSimOverflowDetection on SFSimEcho on SimBlas on SimCtrlC on SimExtrinsic on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 16 Version "1.13.0" Array { Type "Cell" Dimension 15 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" Cell "CodeExecutionProfiling" Cell "CodeProfilingSaveOptions" Cell "CodeProfilingInstrumentation" PropName "DisabledProps" } SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off RTWUseLocalCustomCode off RTWUseSimCustomCode off IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off GenerateErtSFunction off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off IncludeERTFirstTime off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateCodeInfo off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off RTWCompilerOptimization "Off" CheckMdlBeforeBuild "Off" CustomRebuildMode "OnUpdate" Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 17 Version "1.13.0" Array { Type "Cell" Dimension 22 Cell "IgnoreCustomStorageClasses" Cell "IgnoreTestpoints" Cell "InsertBlockDesc" Cell "InsertPolySpaceComments" Cell "SFDataObjDesc" Cell "MATLABFcnDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InternalIdentifier" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "ReqsInCode" PropName "DisabledProps" } ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 18 Version "1.13.0" Array { Type "Cell" Dimension 15 Cell "GeneratePreprocessorConditionals" Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "CPPClassGenCompliant" Cell "GenerateAllocFcn" Cell "PurelyIntegerCode" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" PropName "DisabledProps" } TargetFcnLib "ansi_tfl_table_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" CodeReplacementLibrary "ANSI_C" UtilityFuncGeneration "Auto" ERTMultiwordTypeDef "System defined" ERTMultiwordLength 256 MultiwordLength 2048 GenerateFullHeader on GenerateSampleERTMain off GenerateTestInterfaces off IsPILTarget off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns on CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on SupportVariableSizeSignals off EnableShiftOperators on ParenthesesLevel "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant off AutosarCompliant off GRTInterface off GenerateAllocFcn off UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 2401, 129, 3359, 888 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 8 } Object { $PropName "DataTransfer" $ObjectID 19 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "on" RunInitForIconRedraw "off" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Clock DisplayTime off Decimation "10" } Block { BlockType Derivative CoefficientInTFapproximation "inf" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Inport Port "1" OutputFunctionCall off OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" LatchByDelayingOutsideSignal off LatchInputForFeedbackSignals off Interpolate on } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType Outport Port "1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: auto" LockScale off BusOutputAsStruct off PortDimensions "-1" VarSizeSig "Inherit" SampleTime "-1" SignalType "auto" SamplingMode "auto" SourceOfInitialOutputValue "Dialog" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Quantizer QuantizationInterval "0.5" LinearizeAsGain on SampleTime "-1" } Block { BlockType Saturate UpperLimitSource "Dialog" UpperLimit "0.5" LowerLimitSource "Dialog" LowerLimit "-0.5" LinearizeAsGain on ZeroCross on SampleTime "-1" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" } Block { BlockType Scope ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" DataFormat "Array" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "-1" } Block { BlockType Step Time "1" Before "0" After "1" SampleTime "-1" VectorParams1D on ZeroCross on } Block { BlockType SubSystem ShowPortLabels "FromPortIcon" Permissions "ReadWrite" PermitHierarchicalResolution "All" TreatAsAtomicUnit off CheckFcnCallInpInsideContextMsg off SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" FunctionInterfaceSpec "void_void" RTWMemSecFuncInitTerm "Inherit from model" RTWMemSecFuncExecute "Inherit from model" RTWMemSecDataConstants "Inherit from model" RTWMemSecDataInternal "Inherit from model" RTWMemSecDataParameters "Inherit from model" SimViewingDevice off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" SFBlockType "NONE" Variant off GeneratePreprocessorConditionals off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SampleTime "0" SaveFormat "Array" FixptAsFi off NumInputs "1" } Block { BlockType TransferFcn Numerator "[1]" Denominator "[1 2 1]" AbsoluteTolerance "auto" ContinuousStateAttributes "''" Realization "auto" } Block { BlockType TransportDelay DelayTime "1" InitialOutput "0" BufferSize "1024" FixedBuffer off TransDelayFeedthrough off PadeOrder "0" } } System { Name "AufgabeA_2017_10_10" Location [1912, 0, 3848, 1056] Open on ModelBrowserVisibility on ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "86" Block { BlockType Clock Name "Clock" SID "68" Position [165, 575, 195, 605] ZOrder 39 Decimation "Tsim" } Block { BlockType Reference Name "FR" SID "24" Ports [1, 1] Position [885, 684, 915, 716] ZOrder 24 BlockMirror on LibraryVersion "1.274" SourceBlock "simulink/Discontinuities/Coulomb &\nViscous Friction" SourceType "Coulombic and Viscous Friction" offset "FR" gain "0.01" } Block { BlockType Gain Name "Gain5" SID "18" Position [970, 550, 1025, 580] ZOrder 18 BlockMirror on NamePlacement "alternate" Gain "k" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain6" SID "20" Position [840, 610, 895, 640] ZOrder 20 Gain "1/m2" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "I-->M" SID "6" Position [665, 230, 720, 260] ZOrder 6 Gain "KM" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Reference Name "MRM+MRS" SID "63" Ports [1, 1] Position [850, 135, 880, 165] ZOrder 34 BlockMirror on LibraryVersion "1.274" SourceBlock "simulink/Discontinuities/Coulomb &\nViscous Friction" SourceType "Coulombic and Viscous Friction" offset "MRM+MRS" gain "0.01" } Block { BlockType ToWorkspace Name "Motordrehzahl" SID "13" Ports [1] Position [1200, 135, 1260, 165] ZOrder 13 VariableName "omega1s" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "Motorposition" SID "12" Ports [1] Position [1200, 230, 1260, 260] ZOrder 12 VariableName "phi1s" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType TransferFcn Name "Motorwicklung" SID "11" Position [570, 227, 630, 263] ZOrder 11 Numerator "[1/R]" Denominator "[Tel 1]" } Block { BlockType Reference Name "Repeating\nSequence" SID "35" Ports [0, 1] Position [20, 355, 50, 385] ZOrder 27 LibraryVersion "1.274" SourceBlock "simulink/Sources/Repeating\nSequence" SourceType "Repeating table" rep_seq_t "[0 5]" rep_seq_y "[0 0.005] " } Block { BlockType Reference Name "Repeating\nSequence1" SID "80" Ports [0, 1] Position [105, 200, 135, 230] ZOrder 48 LibraryVersion "1.274" SourceBlock "simulink/Sources/Repeating\nSequence" SourceType "Repeating table" rep_seq_t "[0 1]" rep_seq_y "[1 1] " } Block { BlockType Scope Name "Scope" SID "59" Ports [1] Position [1210, 524, 1240, 556] ZOrder 30 Floating off Location [343, 548, 1005, 923] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.501960784313725 0.501960784313725 0.501960784313725]" AxesColor "[0 0 0]" AxesTickColor "[1 1 1]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } ShowLegends off YMin "0.0268075" YMax "0.0268155" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope1" SID "66" Ports [1] Position [170, 459, 200, 491] ZOrder 37 Floating off Location [1305, 454, 1915, 913] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.501960784313725 0.501960784313725 0.501960784313725]" AxesColor "[0 0 0]" AxesTickColor "[1 1 1]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } ShowLegends off YMin "0" YMax "0.1" SaveName "ScopeData1" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Scope Name "Scope3" SID "71" Ports [1] Position [275, 59, 305, 91] ZOrder 42 Floating off Location [5, 49, 1925, 1039] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.501960784313725 0.501960784313725 0.501960784313725]" AxesColor "[0 0 0]" AxesTickColor "[1 1 1]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } ShowLegends off YMin "0" YMax "40" SaveName "ScopeData3" DataFormat "StructureWithTime" LimitDataPoints off SampleTime "0" } Block { BlockType Step Name "Step1" SID "82" Position [115, 260, 145, 290] ZOrder 50 Time "0.1" After "0.005" SampleTime "0" } Block { BlockType SubSystem Name "Subsystem" SID "26" Ports [5, 1] Position [245, 196, 360, 354] ZOrder 26 MinAlgLoopOccurrences off PropExecContextOutsideSubsystem off RTWSystemCode "Auto" FunctionWithSeparateData off Opaque off RequestExecContextInheritance off MaskHideContents off System { Name "Subsystem" Location [1912, 0, 3848, 1056] Open on ModelBrowserVisibility on ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "A4" PaperUnits "centimeters" TiledPaperMargins [1.270000, 1.270000, 1.270000, 1.270000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "125" Block { BlockType Inport Name "Sollgeschw." SID "27" Position [110, 103, 140, 117] ZOrder -1 IconDisplay "Port number" } Block { BlockType Inport Name "Iststrom" SID "29" Position [925, 83, 955, 97] ZOrder 1 Port "2" IconDisplay "Port number" } Block { BlockType Inport Name "Sollpos." SID "30" Position [110, 188, 140, 202] ZOrder 2 Port "3" IconDisplay "Port number" } Block { BlockType Inport Name "Motorpos." SID "33" Position [850, 278, 880, 292] ZOrder 5 BlockMirror on NamePlacement "alternate" Port "4" IconDisplay "Port number" } Block { BlockType Inport Name "Schlittenpos." SID "34" Position [365, 278, 395, 292] ZOrder 6 BlockMirror on NamePlacement "alternate" Port "5" IconDisplay "Port number" } Block { BlockType Derivative Name "Derivative" SID "55" Position [580, 270, 610, 300] ZOrder 23 BlockMirror on NamePlacement "alternate" } Block { BlockType Gain Name "Drehzahlregler" SID "44" Position [640, 180, 685, 210] ZOrder 12 Gain "Kpv" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain2" SID "42" Position [425, 172, 500, 218] ZOrder 10 Gain "(2*pi/hS)^2" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "Gain4" SID "45" Position [580, 95, 645, 125] ZOrder 13 Gain "Kpv/Tn" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Saturate Name "Imax" SID "50" Ports [1, 1] Position [850, 180, 880, 210] ZOrder 18 InputPortMap "u0" UpperLimit "Imax" LowerLimit "-Imax" Port { PortNumber 1 Name "Isoll" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Integrator Name "Integrator" SID "46" Ports [1, 1] Position [690, 95, 720, 125] ZOrder 14 } Block { BlockType Gain Name "Lageregler" SID "41" Position [265, 180, 310, 210] ZOrder 9 Gain "Kpx" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Scope Name "Scope1" SID "76" Ports [1] Position [385, 334, 415, 366] ZOrder 28 Floating off Location [2567, 424, 3125, 818] Open off NumInputPorts "1" List { ListType AxesTitles axes1 "%" } List { ListType ScopeGraphics FigureColor "[0.5 0.5 0.5]" AxesColor "[0 0 0]" AxesTickColor "[1 1 1]" LineColors "[1 1 0;1 0 1;0 1 1;1 0 0;0 1 0;0 0 1]" LineStyles "-|-|-|-|-|-" LineWidths "[0.5 0.5 0.5 0.5 0.5 0.5]" MarkerStyles "none|none|none|none|none|none" } ShowLegends off YMin "-0.0025" YMax "0.025" SaveName "ScopeData6" DataFormat "StructureWithTime" SampleTime "0" } Block { BlockType Step Name "Step" SID "83" Position [110, 270, 140, 300] ZOrder 29 Time "0" SampleTime "0" } Block { BlockType Gain Name "Stromregler" SID "52" Position [1040, 180, 1070, 210] ZOrder 20 Gain "Kpi" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off Port { PortNumber 1 Name "Usoll" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Sum Name "Sum" SID "40" Ports [2, 1] Position [370, 185, 390, 205] ZOrder 8 ShowName off IconShape "round" Inputs "++|" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum1" SID "43" Ports [2, 1] Position [530, 185, 550, 205] ZOrder 11 ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum2" SID "48" Ports [2, 1] Position [760, 185, 780, 205] ZOrder 16 ShowName off IconShape "round" Inputs "++|" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum3" SID "51" Ports [2, 1] Position [955, 185, 975, 205] ZOrder 19 ShowName off IconShape "round" Inputs "-+|" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum4" SID "57" Ports [2, 1] Position [185, 185, 205, 205] ZOrder 25 ShowName off IconShape "round" Inputs "|+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType ToWorkspace Name "To Workspace" SID "85" Ports [1] Position [435, 25, 495, 55] ZOrder 31 VariableName "omega_soll" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace1" SID "86" Ports [1] Position [835, 25, 895, 55] ZOrder 32 VariableName "Is" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType TransportDelay Name "Ttot" SID "54" Ports [1, 1] Position [1205, 180, 1235, 210] ZOrder 22 DelayTime "Ttot" Port { PortNumber 1 Name "Motor-\nspannung" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" } } Block { BlockType Saturate Name "UZ" SID "53" Ports [1, 1] Position [1120, 180, 1150, 210] ZOrder 21 InputPortMap "u0" UpperLimit "UZ" LowerLimit "-UZ" } Block { BlockType Gain Name "Vorsteuerung" SID "39" Position [265, 95, 295, 125] ZOrder 7 Gain "Fv" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Quantizer Name "dphim" SID "56" Position [715, 270, 745, 300] ZOrder 24 BlockMirror on NamePlacement "alternate" QuantizationInterval "dphim" } Block { BlockType Quantizer Name "dxm" SID "58" Position [265, 270, 295, 300] ZOrder 26 BlockMirror on NamePlacement "alternate" QuantizationInterval "dxm" } Block { BlockType Outport Name "U" SID "28" Position [1285, 188, 1315, 202] ZOrder -2 IconDisplay "Port number" } Line { SrcBlock "Sum" SrcPort 1 DstBlock "Gain2" DstPort 1 } Line { SrcBlock "Sum1" SrcPort 1 Points [10, 0] Branch { DstBlock "Drehzahlregler" DstPort 1 } Branch { DstBlock "Gain4" DstPort 1 } } Line { SrcBlock "Gain4" SrcPort 1 DstBlock "Integrator" DstPort 1 } Line { SrcBlock "Integrator" SrcPort 1 Points [45, 0] DstBlock "Sum2" DstPort 1 } Line { SrcBlock "Drehzahlregler" SrcPort 1 DstBlock "Sum2" DstPort 2 } Line { Name "Isoll" Labels [1, 1] SrcBlock "Imax" SrcPort 1 DstBlock "Sum3" DstPort 2 } Line { SrcBlock "Iststrom" SrcPort 1 Points [5, 0] DstBlock "Sum3" DstPort 1 } Line { SrcBlock "Sum3" SrcPort 1 DstBlock "Stromregler" DstPort 1 } Line { Name "Usoll" Labels [1, 1] SrcBlock "Stromregler" SrcPort 1 DstBlock "UZ" DstPort 1 } Line { SrcBlock "UZ" SrcPort 1 DstBlock "Ttot" DstPort 1 } Line { Name "Motor-\nspannung" Labels [1, 1] SrcBlock "Ttot" SrcPort 1 DstBlock "U" DstPort 1 } Line { SrcBlock "dphim" SrcPort 1 DstBlock "Derivative" DstPort 1 } Line { SrcBlock "Motorpos." SrcPort 1 DstBlock "dphim" DstPort 1 } Line { SrcBlock "Sollgeschw." SrcPort 1 DstBlock "Vorsteuerung" DstPort 1 } Line { SrcBlock "Sum4" SrcPort 1 DstBlock "Lageregler" DstPort 1 } Line { SrcBlock "Sollpos." SrcPort 1 DstBlock "Sum4" DstPort 1 } Line { SrcBlock "Schlittenpos." SrcPort 1 Points [-27, 0] Branch { Points [0, 65] DstBlock "Scope1" DstPort 1 } Branch { DstBlock "dxm" DstPort 1 } } Line { SrcBlock "dxm" SrcPort 1 Points [-65, 0] DstBlock "Sum4" DstPort 2 } Line { SrcBlock "Derivative" SrcPort 1 Points [-35, 0] DstBlock "Sum1" DstPort 2 } Line { SrcBlock "Sum2" SrcPort 1 Points [14, 0] Branch { Points [0, -155] DstBlock "To Workspace1" DstPort 1 } Branch { DstBlock "Imax" DstPort 1 } } Line { SrcBlock "Step" SrcPort 1 Points [24, 0; 0, -41; 171, 0; 0, -49; 4, 0] Branch { Points [0, -155] DstBlock "To Workspace" DstPort 1 } Branch { DstBlock "Sum" DstPort 2 } } Line { SrcBlock "Gain2" SrcPort 1 DstBlock "Sum1" DstPort 1 } } } Block { BlockType Sum Name "Sum" SID "4" Ports [3, 1] Position [755, 235, 775, 255] ZOrder 4 ShowName off IconShape "round" Inputs "-+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum1" SID "10" Ports [2, 1] Position [520, 235, 540, 255] ZOrder 10 ShowName off IconShape "round" Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum2" SID "17" Ports [2, 1] Position [1110, 555, 1130, 575] ZOrder 17 BlockMirror on ShowName off IconShape "round" Inputs "+-" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Sum Name "Sum3" SID "19" Ports [3, 1] Position [755, 615, 775, 635] ZOrder 19 ShowName off IconShape "round" Inputs "+--" InputSameDT off OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType ToWorkspace Name "To Workspace1" SID "79" Ports [1] Position [1230, 330, 1290, 360] ZOrder 47 VariableName "xs" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace2" SID "23" Ports [1] Position [1200, 610, 1260, 640] ZOrder 23 VariableName "x2s" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace3" SID "69" Ports [1] Position [245, 575, 305, 605] ZOrder 40 VariableName "ts" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType Integrator Name "a-->v" SID "1" Ports [1, 1] Position [945, 230, 975, 260] ZOrder 1 } Block { BlockType Integrator Name "a-->v2" SID "21" Ports [1, 1] Position [945, 610, 975, 640] ZOrder 21 } Block { BlockType Gain Name "hS/2*pi" SID "15" Position [1105, 460, 1135, 515] ZOrder 15 BlockRotation 270 BlockMirror on NamePlacement "alternate" Gain "(hS/2*pi)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "hS/2pi" SID "16" Position [750, 460, 780, 515] ZOrder 16 BlockRotation 270 Gain "(hS/2*pi)" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "motorseitige\nTrägheit" SID "3" Position [825, 230, 880, 260] ZOrder 3 Gain "1/J1" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Gain Name "omega-->Ui" SID "5" Position [660, 345, 730, 385] ZOrder 5 BlockMirror on Gain "Komega" ParamDataTypeStr "Inherit: Inherit via internal rule" OutDataTypeStr "Inherit: Inherit via internal rule" SaturateOnIntegerOverflow off } Block { BlockType Integrator Name "v-->x" SID "2" Ports [1, 1] Position [1050, 230, 1080, 260] ZOrder 2 } Block { BlockType Integrator Name "v-->x2" SID "22" Ports [1, 1] Position [1050, 610, 1080, 640] ZOrder 22 } Line { SrcBlock "Sum" SrcPort 1 DstBlock "motorseitige\nTrägheit" DstPort 1 } Line { SrcBlock "motorseitige\nTrägheit" SrcPort 1 DstBlock "a-->v" DstPort 1 } Line { SrcBlock "a-->v" SrcPort 1 Points [35, 0] Branch { Points [0, 120] DstBlock "omega-->Ui" DstPort 1 } Branch { Points [0, -95] Branch { DstBlock "MRM+MRS" DstPort 1 } Branch { DstBlock "Motordrehzahl" DstPort 1 } } Branch { DstBlock "v-->x" DstPort 1 } } Line { SrcBlock "MRM+MRS" SrcPort 1 Points [-80, 0] DstBlock "Sum" DstPort 1 } Line { SrcBlock "omega-->Ui" SrcPort 1 Points [-125, 0] DstBlock "Sum1" DstPort 2 } Line { SrcBlock "Motorwicklung" SrcPort 1 Points [12, 0] Branch { Points [0, -83; -417, 0] DstBlock "Subsystem" DstPort 2 } Branch { DstBlock "I-->M" DstPort 1 } } Line { SrcBlock "Sum1" SrcPort 1 DstBlock "Motorwicklung" DstPort 1 } Line { SrcBlock "Subsystem" SrcPort 1 Points [44, 0; 0, -56; 121, 0] DstBlock "Sum1" DstPort 1 } Line { SrcBlock "v-->x" SrcPort 1 Points [35, 0] Branch { Points [42, 0] Branch { Points [0, 100] DstBlock "To Workspace1" DstPort 1 } Branch { DstBlock "Motorposition" DstPort 1 } } Branch { Points [0, 178] Branch { Points [-890, 0] DstBlock "Subsystem" DstPort 4 } Branch { DstBlock "hS/2*pi" DstPort 1 } } } Line { SrcBlock "Sum2" SrcPort 1 DstBlock "Gain5" DstPort 1 } Line { SrcBlock "Gain5" SrcPort 1 Points [-200, 0] Branch { DstBlock "Sum3" DstPort 1 } Branch { DstBlock "hS/2pi" DstPort 1 } } Line { SrcBlock "Sum3" SrcPort 1 DstBlock "Gain6" DstPort 1 } Line { SrcBlock "Gain6" SrcPort 1 DstBlock "a-->v2" DstPort 1 } Line { SrcBlock "a-->v2" SrcPort 1 Points [30, 0] Branch { Points [0, 75] DstBlock "FR" DstPort 1 } Branch { DstBlock "v-->x2" DstPort 1 } } Line { SrcBlock "FR" SrcPort 1 Points [-115, 0] DstBlock "Sum3" DstPort 3 } Line { SrcBlock "hS/2*pi" SrcPort 1 DstBlock "Sum2" DstPort 1 } Line { SrcBlock "v-->x2" SrcPort 1 Points [35, 0] Branch { Points [0, 125; -489, 0; 0, -249; -407, 0] Branch { Points [0, 17; -83, 0; 0, -43] DstBlock "Scope1" DstPort 1 } Branch { Points [-8, 0; 0, -166] DstBlock "Subsystem" DstPort 5 } } Branch { Points [33, 0] Branch { Points [0, -85] DstBlock "Scope" DstPort 1 } Branch { DstBlock "To Workspace2" DstPort 1 } } Branch { DstBlock "Sum2" DstPort 2 } } Line { SrcBlock "I-->M" SrcPort 1 DstBlock "Sum" DstPort 2 } Line { SrcBlock "Clock" SrcPort 1 DstBlock "To Workspace3" DstPort 1 } Line { SrcBlock "Step1" SrcPort 1 DstBlock "Subsystem" DstPort 3 } Line { SrcBlock "Repeating\nSequence1" SrcPort 1 Points [57, 0] Branch { Points [0, -140] DstBlock "Scope3" DstPort 1 } Branch { DstBlock "Subsystem" DstPort 1 } } Line { SrcBlock "hS/2pi" SrcPort 1 DstBlock "Sum" DstPort 3 } } }