WICHTIG: Der Betrieb von goMatlab.de wird privat finanziert fortgesetzt. - Mehr Infos...

Mein MATLAB Forum - goMatlab.de

Mein MATLAB Forum

 
Gast > Registrieren       Autologin?   

Partner:




Forum
      Option
[Erweitert]
  • Diese Seite per Mail weiterempfehlen
     


Gehe zu:  
Neues Thema eröffnen Neue Antwort erstellen

Hallo Zusammen: HDL FFT Simulink Block :VHDL code generieren

 

Alisoltanii
Forum-Newbie

Forum-Newbie


Beiträge: 1
Anmeldedatum: 25.12.20
Wohnort: Germany
Version: ---
     Beitrag Verfasst am: 25.12.2020, 17:38     Titel: Hallo Zusammen: HDL FFT Simulink Block :VHDL code generieren
  Antworten mit Zitat      
Ich möchte gerne wissen wie kann man das HDL FFT Block (Bild1) in Simulink Inputs und Outputs konfigurieren um einem VHDL code zu generieren. Die zwei Input sind die Ausgägne von ein Sub_Data Block (Bild2) .

VHDL Beschreibung für das Sub_Data Block;
library IEEE;

use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;



entity Sub_Data is
port (

DATA_IN : IN std_logic_vector(15 downto 0);
ENABLE_IN : IN std_logic;
RESET_N : IN std_logic;
CLK : IN std_logic;
DATA_Subs : OUT std_logic_vector(15 downto 0);
ENABLE_OUT : OUT std_logic
);
end Sub_Data;
architecture architecture_Sub_Data of Sub_Data is
signal data_i_1 : std_logic_vector(15 downto 0) ;
signal data_i_2 : std_logic_vector(15 downto 0) ;


begin
sub_data: process (CLK, RESET_N)
begin
if RESET_N = '0' then
ENABLE_OUT <= '0';
DATA_Subs <= (others => '0');
data_i_1 <= (others => '0');
data_i_2 <= (others => '0');

elsif rising_edge(CLK) then

if ENABLE_IN = '1' then
data_i_1 <= DATA_IN;
else
data_i_2 <= data_i_1;
end if;

if data_i_1 > data_i_2 then
DATA_Subs <= std_logic_vector(unsigned(data_i_1(15 downto 0)) - unsigned(data_i_2(15 downto 0)));
ENABLE_OUT <= '1';
elsif data_i_1 < data_i_2 then
DATA_Subs <= std_logic_vector(unsigned(data_i_2(15 downto 0)) - unsigned(data_i_1(15 downto 0)));
ENABLE_OUT <= '1';
end if;

if ENABLE_OUT = '1' then
ENABLE_OUT <= '0';
end if;


end if;
end process sub_data;

-- architecture body
end architecture_Sub_Data;

sub data.PNG
 Beschreibung:

Download
 Dateiname:  sub data.PNG
 Dateigröße:  23.75 KB
 Heruntergeladen:  215 mal
image_2020-12-25_154246.png
 Beschreibung:
Simulink Block

Download
 Dateiname:  image_2020-12-25_154246.png
 Dateigröße:  84.62 KB
 Heruntergeladen:  201 mal
Private Nachricht senden Benutzer-Profile anzeigen E-Mail senden


Neues Thema eröffnen Neue Antwort erstellen



Einstellungen und Berechtigungen
Beiträge der letzten Zeit anzeigen:

Du kannst Beiträge in dieses Forum schreiben.
Du kannst auf Beiträge in diesem Forum antworten.
Du kannst deine Beiträge in diesem Forum nicht bearbeiten.
Du kannst deine Beiträge in diesem Forum nicht löschen.
Du kannst an Umfragen in diesem Forum nicht mitmachen.
Du kannst Dateien in diesem Forum posten
Du kannst Dateien in diesem Forum herunterladen
.





 Impressum  | Nutzungsbedingungen  | Datenschutz | FAQ | goMatlab RSS Button RSS

Hosted by:


Copyright © 2007 - 2024 goMatlab.de | Dies ist keine offizielle Website der Firma The Mathworks

MATLAB, Simulink, Stateflow, Handle Graphics, Real-Time Workshop, SimBiology, SimHydraulics, SimEvents, and xPC TargetBox are registered trademarks and The MathWorks, the L-shaped membrane logo, and Embedded MATLAB are trademarks of The MathWorks, Inc.