WICHTIG: Der Betrieb von goMatlab.de wird privat finanziert fortgesetzt. - Mehr Infos...

Mein MATLAB Forum - goMatlab.de

Mein MATLAB Forum

 
Gast > Registrieren       Autologin?   

Partner:




Forum
      Option
[Erweitert]
  • Diese Seite per Mail weiterempfehlen
     


Gehe zu:  
Neues Thema eröffnen Neue Antwort erstellen

Matlab Plot/Vektor in Simulink Block

 

BlackTiger
Forum-Anfänger

Forum-Anfänger


Beiträge: 32
Anmeldedatum: 19.06.18
Wohnort: ---
Version: ---
     Beitrag Verfasst am: 10.01.2019, 17:56     Titel: Matlab Plot/Vektor in Simulink Block
  Antworten mit Zitat      
Guten Abend zusammen,

und zwar geht es um Folgendes:

Ich habe eine Matrix. Die erste Spalte beinhaltet die Zeitachse und die Zweite die entsprechenden Werte hierzu.

Ich möchte diese(n) Matrix/Plot gerne in ein Simulink-Block/Signal konvertieren, habe aber nach einiger Suche keine entsprechende Möglichkeit gefunden.

Ich habe es auch mit der Matlab-Funktion probiert, leider wenig Erfolg dabei gehabt.

Daher würde ich mich um jegglichen Vorschlag freuen Smile
Schon mal besten Dank!
Private Nachricht senden Benutzer-Profile anzeigen


Harald
Forum-Meister

Forum-Meister


Beiträge: 24.448
Anmeldedatum: 26.03.09
Wohnort: Nähe München
Version: ab 2017b
     Beitrag Verfasst am: 10.01.2019, 20:51     Titel:
  Antworten mit Zitat      
Hallo,

ich würde In-Ports verwenden. Alternativ z.B. from-Workspace.

Grüße,
Harald
_________________

1.) Ask MATLAB Documentation
2.) Search gomatlab.de, google.de or MATLAB Answers
3.) Ask Technical Support of MathWorks
4.) Go mad, your problem is unsolvable ;)
Private Nachricht senden Benutzer-Profile anzeigen
 
Neues Thema eröffnen Neue Antwort erstellen



Einstellungen und Berechtigungen
Beiträge der letzten Zeit anzeigen:

Du kannst Beiträge in dieses Forum schreiben.
Du kannst auf Beiträge in diesem Forum antworten.
Du kannst deine Beiträge in diesem Forum nicht bearbeiten.
Du kannst deine Beiträge in diesem Forum nicht löschen.
Du kannst an Umfragen in diesem Forum nicht mitmachen.
Du kannst Dateien in diesem Forum posten
Du kannst Dateien in diesem Forum herunterladen
.





 Impressum  | Nutzungsbedingungen  | Datenschutz | FAQ | goMatlab RSS Button RSS

Hosted by:


Copyright © 2007 - 2024 goMatlab.de | Dies ist keine offizielle Website der Firma The Mathworks

MATLAB, Simulink, Stateflow, Handle Graphics, Real-Time Workshop, SimBiology, SimHydraulics, SimEvents, and xPC TargetBox are registered trademarks and The MathWorks, the L-shaped membrane logo, and Embedded MATLAB are trademarks of The MathWorks, Inc.